Verilog仿真不打拍分析

Verilog仿真不打拍分析

现象

例如:
1
出现:
2

原因

这是由于采样的时钟tx_gen_symbol_clk 和数据信号subframe_start之间存在竞争关系导致的。
我们的设计意图是时钟tx_gen_symbol_clk应该采到subframe_start信号的后沿
3
有人可能会说在代码中加入延迟#1来解决,实际上不推荐这样做,还会存在两个#1的信号同样还会存在竞争。

仿真模型原理分析

要搞清楚产生这种问题的原因首先要了解仿真器对于时序仿真的模型。
4
第4级的时钟不能正常采到第3级输出的数据,但是第2级的时钟能采到第二级时钟打出来的数据(D3),
原因就是,仿真器会在寄存器输出的时候添加一个虚拟的延迟δ,虽然这个虚拟延迟我们是看不到的,
在仿真器上都是0,但是对于仿真器工作的时候,到遇到always采样事件时先要判断这个δ延迟,决定触发器的采样输出。
(当延迟相等时,clk延迟的优先级会比data延迟的优先级高,即clk1能采到D1的前沿, 也就是我们看到的数据不打拍,这跟我们的预期是不一致的,
而clk1能采不到D2的前沿,但能采到D2的后沿,这跟我们的预期一样的。这些讨论仅限于前仿,
实际器件上我们必须有时序约束来保证建立保持时间,也不会存在这样的问题。
但是硬件设计就是要保证仿真和物理器件一致。因此我们要注意这个现象。
5

如何解决

在你的TB里时钟都由一个单独的模块产生送给DUT,不管产生多少个时钟,这些时钟保证都经历了n级分频下来的然后送入DUT,这样数据就不会出现不delay的现象。

评论